首页> 外文OA文献 >In-Line Interrupt Handling and Lock-Up Free Translation Lookaside Buffers (TLBs)
【2h】

In-Line Interrupt Handling and Lock-Up Free Translation Lookaside Buffers (TLBs)

机译:串联中断处理和锁定免费转换后备缓冲器(TLB)

代理获取
本网站仅为用户提供外文OA文献查询和代理获取服务,本网站没有原文。下单后我们将采用程序或人工为您竭诚获取高质量的原文,但由于OA文献来源多样且变更频繁,仍可能出现获取不到、文献不完整或与标题不符等情况,如果获取不到我们将提供退款服务。请知悉。

摘要

The effects of the general-purpose precise interrupt mechanisms in use for the past few decades have received very little attention. When modern out-of-order processors handle interrupts precisely, they typically begin by flushing the pipeline to make the CPU available to execute handler instructions. In doing so, the CPU ends up flushing many instructions that have been brought in to the reorder buffer. In particular, these instructions may have reached a very deep stage in the pipeline—representingsignificant work that is wasted. In addition, an overhead of several cycles and wastage of energy (per exception detected) can beexpected in refetching and reexecuting the instructions flushed. This paper concentrates on improving the performance of preciselyhandling software managed translation look-aside buffer (TLB) interrupts, one of the most frequently occurring interrupts. The paper presents a novel method of in-lining the interrupt handler within the reorder buffer. Since the first level interrupt-handlers of TLBs are usually small, they could potentially fit in the reorder buffer along with the user-level code already there. In doing so, the instructions that would otherwise be flushed from the pipe need not be refetched and reexecuted. Additionally, it allows for instructions independent of the exceptional instruction to continue to execute in parallel with the handler code. By in-lining the TLBinterrupt handler, this provides lock-up free TLBs. This paper proposes the prepend and append schemes of in-lining the interrupthandler into the available reorder buffer space. The two schemes are implemented on a performance model of the Alpha 21264processor built by Alpha designers at the Palo Alto Design Center (PADC), California. We compare the overhead and performanceimpact of handling TLB interrupts by the traditional scheme, the append in-lined scheme, and the prepend in-lined scheme. Forsmall, medium, and large memory footprints, the overhead is quantified by comparing the number and pipeline state of instructionsflushed, the energy savings, and the performance improvements. We find that lock-up free TLBs reduce the overhead of refetching and reexecuting the instructions flushed by 30-95 percent, reduce the execution time by 5-25 percent, and also reduce the energy wasted by 30-90 percent.
机译:在过去的几十年中使用的通用精确中断机制的影响很少受到关注。当现代乱序处理器精确地处理中断时,它们通常从刷新管道开始以使CPU可用于执行处理程序指令。这样,CPU最终将刷新许多已引入到重排序缓冲区中的指令。特别是,这些说明可能已经进入了非常深入的阶段,这代表了浪费的大量工作。此外,在重新刷新并重新执行已刷新的指令时,可能会发生几个周期的开销和能量浪费(根据检测到的异常)。本文着重于提高精确处理软件管理的转换后备缓冲器(TLB)中断的性能,该中断是最频繁发生的中断之一。本文提出了一种在重排序缓冲区中内联中断处理程序的新颖方法。由于TLB的第一级中断处理程序通常很小,因此它们可能与现有的用户级代码一起放入重新排序缓冲区中。这样做时,原本应从管道中冲洗掉的指令无需重新获取并重新执行。另外,它允许独立于异常指令的指令继续与处理程序代码并行执行。通过内联TLBinterrupt处理程序,可以提供无锁定的TLB。本文提出了将中断处理程序内联到可用的重排序缓冲区空间中的前置和追加方案。这两种方案是在加利福尼亚帕洛阿尔托设计中心(PADC)的Alpha设计人员构建的Alpha 21264处理器性能模型上实现的。我们比较了传统方案,追加内联方案和前置内联方案处理TLB中断的开销和性能影响。对于较小,中等和较大的内存占用空间,开销是通过比较流失的指令的数量和流水线状态,节能和性能改进来量化的。我们发现,无锁定的TLB减少了重新刷新和重新执行刷新指令的开销,减少了30-95%,执行时间减少了5-25%,同时还减少了30-90%的能量浪费。

著录项

  • 作者

    Jaleel, Aamer; Jacob, Bruce;

  • 作者单位
  • 年度 2006
  • 总页数
  • 原文格式 PDF
  • 正文语种 en_US
  • 中图分类

相似文献

  • 外文文献
  • 中文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号